Não consigo instalar o Altera Quartus II [RESOLVIDO]

13. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Sabrio
Tenga

(usa Ubuntu)

Enviado em 05/10/2017 - 15:32h

katsuke00 escreveu:

Um binário estático que chama um biblioteca dinâmica, que ótimo... Acredito que estamos próximo de uma solução, retorne o seguinte:
$ ls -l /lib/ld*.so* 


Em que diretório?
Fiz isso em Downloads e não deu certo.
pamf@pamf:~/Downloads$ ls -l /lib/ld* .so*
ls: não é possível acessar '/lib/ld*': Arquivo ou diretório não encontrado
ls: não é possível acessar '.so*': Arquivo ou diretório não encontrado
pamf@pamf:~/Downloads$ cd components/
pamf@pamf:~/Downloads/components$ ls -l /lib/ld* .so*
ls: não é possível acessar '/lib/ld*': Arquivo ou diretório não encontrado
ls: não é possível acessar '.so*': Arquivo ou diretório não encontrado



  


14. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Perfil removido
removido

(usa Nenhuma)

Enviado em 05/10/2017 - 15:43h

Como passei um comando com caminho absoluto não deveria ter problemas o local de onde chama. Tente o seguinte:
$ sudo find / -name "ld*.so*"  


* O "ld*.so*" não possui espaços.




15. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Sabrio
Tenga

(usa Ubuntu)

Enviado em 05/10/2017 - 15:47h

pamf@pamf:~/Downloads$ sudo find / -name "ld*.so*"
[sudo] senha para pamf:
/lib/x86_64-linux-gnu/ld-2.24.so
/lib/x86_64-linux-gnu/ld-linux-x86-64.so.2
/usr/lib/x86_64-linux-gnu/mesa-egl/ld.so.conf
/usr/lib/x86_64-linux-gnu/ldb/modules/ldb/ldap.so
/usr/lib/x86_64-linux-gnu/mesa/ld.so.conf
/usr/share/man/man8/ld.so.8.gz
/usr/share/man/man8/ld-linux.so.8.gz
/etc/ld.so.cache
/etc/ld.so.conf.d
/etc/ld.so.conf
/lib64/ld-linux-x86-64.so.2
find: ‘/run/user/1000/gvfs’: Permissão negada



16. Re: Não consigo instalar o Altera Quartus II

Perfil removido
removido

(usa Nenhuma)

Enviado em 05/10/2017 - 16:01h

Bem o sistema é 64bits e o Altera Quartus II está procurando bibliotecas 32bits, faça o seguinte:
$ sudo dpkg --add-architecture i386
$ sudo apt update
$ sudo apt install libc6:i386 libstdc++6:i386
$ cd components
$ ./QuartusSetupWeb-13.0.0.156.run

caso falhe, retorne novamente:
$ sudo find / -name "ld*.so*"  




17. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Sabrio
Tenga

(usa Ubuntu)

Enviado em 05/10/2017 - 16:03h

pamf@pamf:~$ sudo apt install ia32-libs
[sudo] senha para pamf:
Lendo listas de pacotes... Pronto
Construindo árvore de dependências
Lendo informação de estado... Pronto
O pacote ia32-libs não está disponível, mas é referenciado por outro pacote.
Isto pode significar que o pacote está faltando, ficou obsoleto ou
está disponível somente a partir de outra fonte
No entanto, os pacotes a seguir o substituem:
lib32ncurses5 lib32z1

E: O pacote 'ia32-libs' não tem candidato para instalação



18. Re: Não consigo instalar o Altera Quartus II

Perfil removido
removido

(usa Nenhuma)

Enviado em 05/10/2017 - 16:50h

@Tenga eu vi agora que o pacote "ia32-libs" foi removido (facepalm), olha de novo a mensagem eu editei.

Caso tenha resolvido lembre-se de marcar o tópico como resolvido.


19. Re: Não consigo instalar o Altera Quartus II

Sabrio
Tenga

(usa Ubuntu)

Enviado em 06/10/2017 - 09:20h

katsuke00 escreveu:

Bem o sistema é 64bits e o Altera Quartus II está procurando bibliotecas 32bits, faça o seguinte:
$ sudo dpkg --add-architecture i386
$ sudo apt update
$ sudo apt install libc6:i386 libstdc++6:i386
$ cd components
$ ./QuartusSetupWeb-13.0.0.156.run

caso falhe, retorne novamente:
$ sudo find / -name "ld*.so*"  



Opa, finalmente instalou (ou eu acho que sim hehe). Mas agora não consigo abrir o bendito, não criou atalho em canto algum, como faço pra abrir essa belezinha?

Após a instalação retornou isso:
Setup has finished installing Quartus II Web Edition (Free) 13.0.0.156.

Create shortcuts on Desktop [Y/n]: y

Launch Quartus II (64bit) [Y/n]: y

Provide your feedback at http://software.altera.com/feedback/13.0/installer [Y/n]:

pamf@pamf:~/Downloads/components$ quartus: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory



20. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Perfil removido
removido

(usa Nenhuma)

Enviado em 06/10/2017 - 20:19h

Tenga escreveu:
Opa, finalmente instalou (ou eu acho que sim hehe). Mas agora não consigo abrir o bendito, não criou atalho em canto algum, como faço pra abrir essa belezinha?

Após a instalação retornou isso:
Setup has finished installing Quartus II Web Edition (Free) 13.0.0.156.
Create shortcuts on Desktop [Y/n]: y
Launch Quartus II (64bit) [Y/n]: y
Provide your feedback at http://software.altera.com/feedback/13.0/installer [Y/n]:
pamf@pamf:~/Downloads/components$ quartus: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory

você pode chama-lo pelo terminal ou criar um atalho manualmente, esse erro e devido a falta de uma biblioteca.
$ sudo apt install libpng12-0:i386
$ quartus

caso não funcione, provavelmente, é devido a falta de mais bibliotecas, então retorne o seguinte:
$ ldd $(whereis -b quartus | sed 's/quartus://g') 






21. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Sabrio
Tenga

(usa Ubuntu)

Enviado em 09/10/2017 - 09:42h

Tentei isso aí e não deu certo:

pamf@pamf:~$ sudo apt install libpng12-0:i386
[sudo] senha para pamf:
Lendo listas de pacotes... Pronto
Construindo árvore de dependências
Lendo informação de estado... Pronto
E: Impossível encontrar o pacote libpng12-0:i386
pamf@pamf:~$ quartus
quartus: comando não encontrado
pamf@pamf:~$ ldd $(whereis -b quartus | sed 's/quartus://g')
ldd: argumentos de arquivo não encontrados
Tente `ldd --help' para mais informações.



22. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Perfil removido
removido

(usa Nenhuma)

Enviado em 09/10/2017 - 20:00h

Tenga escreveu:
Tentei isso aí e não deu certo:
pamf@pamf:~$ sudo apt install libpng12-0:i386
[sudo] senha para pamf:
Lendo listas de pacotes... Pronto
Construindo árvore de dependências
Lendo informação de estado... Pronto
E: Impossível encontrar o pacote libpng12-0:i386
pamf@pamf:~$ quartus
quartus: comando não encontrado
pamf@pamf:~$ ldd $(whereis -b quartus | sed 's/quartus://g')
ldd: argumentos de arquivo não encontrados
Tente `ldd --help' para mais informações.

retorne os seguintes comandos:
$ apt search libpng
$ ls /opt
$ sudo find / -type f -name "*quartus*"



23. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Sabrio
Tenga

(usa Ubuntu)

Enviado em 10/10/2017 - 10:06h


retorne os seguintes comandos:
$ apt search libpng
$ ls /opt
$ sudo find / -type f -name "*quartus*"



pamf@pamf:~$ apt search libpng
Sorting... Pronto
Full Text Search... Pronto
fp-units-gfx/zesty 3.0.2+dfsg-2 amd64
Free Pascal - graphics-library units dependency package

fp-units-gfx-3.0.2/zesty 3.0.2+dfsg-2 amd64
Free Pascal - graphics-library units

libpng++-dev/zesty,zesty 0.2.9-1 all
C++ interface to the PNG (Portable Network Graphics) library

libpng-dev/zesty 1.6.28-1 amd64
PNG library - development (version 1.6)

libpng-sixlegs-java/zesty,zesty 2.0-1 all
Sixlegs Java PNG Decoder

libpng-sixlegs-java-doc/zesty,zesty 2.0-1 all
Documentation for Sixlegs Java PNG Decoder

libpng-tools/zesty 1.6.28-1 amd64
PNG library - tools (version 1.6)

libpng16-16/zesty,now 1.6.28-1 amd64 [installed]
PNG library - runtime (version 1.6)

libpnglite-dev/zesty 0.1.17-2 amd64
lightweight C library for loading and writing PNG images

libpnglite0/zesty 0.1.17-2 amd64
lightweight C library for loading and writing PNG images

pngquant/zesty 2.5.0-1build1 amd64
utilitário de otimização de PNG (Portable Network Graphics)

ruby-oily-png/zesty 1.2.1~dfsg-1 amd64
native mixin to speed up ChunkyPNG

tkpng/zesty 0.9-1ubuntu2 amd64
PNG photo image support to Tcl/Tk

pamf@pamf:~$ ls /opt
pamf@pamf:~$ ls /opt
pamf@pamf:~$ sudo find / -type f -name "*quartus*"
[sudo] senha para pamf:
/home/pamf/Área de Trabalho/setup quartus prieira linha original
/home/pamf/altera/13.0/quartus/linux/quartus_fid
/home/pamf/altera/13.0/quartus/linux/quartus_fitw
/home/pamf/altera/13.0/quartus/linux/quartus_map
/home/pamf/altera/13.0/quartus/linux/quartus_fid.dep
/home/pamf/altera/13.0/quartus/linux/quartus_sta
/home/pamf/altera/13.0/quartus/linux/quartus
/home/pamf/altera/13.0/quartus/linux/quartus_hps.dep
/home/pamf/altera/13.0/quartus/linux/quartus_pow.dep
/home/pamf/altera/13.0/quartus/linux/quartus_map.dep
/home/pamf/altera/13.0/quartus/linux/quartus_stpw.dep
/home/pamf/altera/13.0/quartus/linux/quartus_sh.dep
/home/pamf/altera/13.0/quartus/linux/quartus_eda.dep
/home/pamf/altera/13.0/quartus/linux/quartus_sim.dep
/home/pamf/altera/13.0/quartus/linux/quartus_asm
/home/pamf/altera/13.0/quartus/linux/quartus_rpp.dep
/home/pamf/altera/13.0/quartus/linux/quartus_drc
/home/pamf/altera/13.0/quartus/linux/quartus_sh
/home/pamf/altera/13.0/quartus/linux/quartus_cpf.dep
/home/pamf/altera/13.0/quartus/linux/quartus_fit.dep
/home/pamf/altera/13.0/quartus/linux/quartus_pow
/home/pamf/altera/13.0/quartus/linux/quartus_cmd.dep
/home/pamf/altera/13.0/quartus/linux/quartus_npp
/home/pamf/altera/13.0/quartus/linux/quartus_drc.dep
/home/pamf/altera/13.0/quartus/linux/quartus_jli.dep
/home/pamf/altera/13.0/quartus/linux/quartus_jbcc
/home/pamf/altera/13.0/quartus/linux/quartus_jli
/home/pamf/altera/13.0/quartus/linux/quartus_cvp.dep
/home/pamf/altera/13.0/quartus/linux/quartus_npp.dep
/home/pamf/altera/13.0/quartus/linux/quartus_cvp
/home/pamf/altera/13.0/quartus/linux/quartus_cdb
/home/pamf/altera/13.0/quartus/linux/quartus_staw.dep
/home/pamf/altera/13.0/quartus/linux/quartus_stp.dep
/home/pamf/altera/13.0/quartus/linux/quartus_stpw
/home/pamf/altera/13.0/quartus/linux/quartus_cmd
/home/pamf/altera/13.0/quartus/linux/quartus_hps
/home/pamf/altera/13.0/quartus/linux/quartus_fit
/home/pamf/altera/13.0/quartus/linux/quartus.dep
/home/pamf/altera/13.0/quartus/linux/quartus_asm.dep
/home/pamf/altera/13.0/quartus/linux/quartus_sim
/home/pamf/altera/13.0/quartus/linux/quartus_pgmw.dep
/home/pamf/altera/13.0/quartus/linux/quartus_cpf
/home/pamf/altera/13.0/quartus/linux/quartus_pgmw
/home/pamf/altera/13.0/quartus/linux/quartus_cdb.dep
/home/pamf/altera/13.0/quartus/linux/quartus_fitw.dep
/home/pamf/altera/13.0/quartus/linux/quartus_pgm
/home/pamf/altera/13.0/quartus/linux/quartus_jbcc.dep
/home/pamf/altera/13.0/quartus/linux/quartus_staw
/home/pamf/altera/13.0/quartus/linux/quartus_si
/home/pamf/altera/13.0/quartus/linux/quartus_sta.dep
/home/pamf/altera/13.0/quartus/linux/quartus_rpp
/home/pamf/altera/13.0/quartus/linux/quartus_eda
/home/pamf/altera/13.0/quartus/linux/quartus_pgm.dep
/home/pamf/altera/13.0/quartus/linux/quartus_si.dep
/home/pamf/altera/13.0/quartus/linux/quartus_stp
/home/pamf/altera/13.0/quartus/adm/quartusii.png
/home/pamf/altera/13.0/quartus/sopc_builder/model/lib/com.altera.ui.quartus.jar
/home/pamf/altera/13.0/quartus/sopc_builder/system_console/lib/emdb/quartus.tcl
/home/pamf/altera/13.0/quartus/sopc_builder/system_console/lib/emdb/quartus_delay_chain_constants.tcl
/home/pamf/altera/13.0/quartus/common/ip/altera/common/lib/com.altera.ui.quartus.jar
/home/pamf/altera/13.0/quartus/common/tcl/internal/quartus_cmd.tcl
/home/pamf/altera/13.0/quartus/linux64/quartus_fid
/home/pamf/altera/13.0/quartus/linux64/quartus_fitw
/home/pamf/altera/13.0/quartus/linux64/quartus_map
/home/pamf/altera/13.0/quartus/linux64/quartus_fid.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_sta
/home/pamf/altera/13.0/quartus/linux64/quartus
/home/pamf/altera/13.0/quartus/linux64/quartus_hps.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_pow.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_map.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_stpw.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_sh.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_eda.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_sim.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_asm
/home/pamf/altera/13.0/quartus/linux64/quartus_rpp.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_drc
/home/pamf/altera/13.0/quartus/linux64/quartus_sh
/home/pamf/altera/13.0/quartus/linux64/quartus_cpf.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_fit.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_pow
/home/pamf/altera/13.0/quartus/linux64/quartus_cmd.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_npp
/home/pamf/altera/13.0/quartus/linux64/quartus_drc.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_jli.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_jbcc
/home/pamf/altera/13.0/quartus/linux64/quartus_jli
/home/pamf/altera/13.0/quartus/linux64/quartus_cvp.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_npp.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_cvp
/home/pamf/altera/13.0/quartus/linux64/quartus_cdb
/home/pamf/altera/13.0/quartus/linux64/quartus_staw.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_stp.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_stpw
/home/pamf/altera/13.0/quartus/linux64/quartus_cmd
/home/pamf/altera/13.0/quartus/linux64/quartus_hps
/home/pamf/altera/13.0/quartus/linux64/quartus_fit
/home/pamf/altera/13.0/quartus/linux64/quartus.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_asm.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_sim
/home/pamf/altera/13.0/quartus/linux64/quartus_pgmw.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_cpf
/home/pamf/altera/13.0/quartus/linux64/quartus_pgmw
/home/pamf/altera/13.0/quartus/linux64/quartus_cdb.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_fitw.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_pgm
/home/pamf/altera/13.0/quartus/linux64/quartus_jbcc.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_staw
/home/pamf/altera/13.0/quartus/linux64/quartus_si
/home/pamf/altera/13.0/quartus/linux64/quartus_sta.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_rpp
/home/pamf/altera/13.0/quartus/linux64/quartus_eda
/home/pamf/altera/13.0/quartus/linux64/quartus_pgm.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_si.dep
/home/pamf/altera/13.0/quartus/linux64/quartus_stp
/home/pamf/altera/13.0/quartus/bin/quartus_fid
/home/pamf/altera/13.0/quartus/bin/quartus_fitw
/home/pamf/altera/13.0/quartus/bin/quartus_map
/home/pamf/altera/13.0/quartus/bin/quartus_sta
/home/pamf/altera/13.0/quartus/bin/quartus
/home/pamf/altera/13.0/quartus/bin/quartus_asm
/home/pamf/altera/13.0/quartus/bin/quartus_drc
/home/pamf/altera/13.0/quartus/bin/quartus_sh
/home/pamf/altera/13.0/quartus/bin/quartus_pow
/home/pamf/altera/13.0/quartus/bin/quartus_jbcc
/home/pamf/altera/13.0/quartus/bin/quartus_jli
/home/pamf/altera/13.0/quartus/bin/quartus_cvp
/home/pamf/altera/13.0/quartus/bin/quartus_cdb
/home/pamf/altera/13.0/quartus/bin/quartus_stpw
/home/pamf/altera/13.0/quartus/bin/quartus_cmd
/home/pamf/altera/13.0/quartus/bin/quartus_hps
/home/pamf/altera/13.0/quartus/bin/quartus_fit
/home/pamf/altera/13.0/quartus/bin/quartus_sim
/home/pamf/altera/13.0/quartus/bin/quartus_cpf
/home/pamf/altera/13.0/quartus/bin/quartus_pgmw
/home/pamf/altera/13.0/quartus/bin/quartus_pgm
/home/pamf/altera/13.0/quartus/bin/quartus_staw
/home/pamf/altera/13.0/quartus/bin/quartus_si
/home/pamf/altera/13.0/quartus/bin/quartus_rpp
/home/pamf/altera/13.0/quartus/bin/quartus_eda
/home/pamf/altera/13.0/quartus/bin/quartus_g2b
/home/pamf/altera/13.0/quartus/bin/quartus_stp
/home/pamf/altera/13.0/ip/altera/hps/util/quartus_locations_query.tcl
/home/pamf/altera/13.0/ip/altera/hps/util/quartus_pin_mux_db_part_query.tcl
/home/pamf/altera/13.0/ip/altera/seriallite_ii/lib/slite2/hw/src/par/quartus.ini
/home/pamf/altera/13.0/ip/altera/common/lib/com.altera.ui.quartus.jar
/home/pamf/altera/13.0/ip/altera/common/hw_tcl_packages/quartus_device.tcl
/home/pamf/altera/13.0/ip/altera/common/hw_tcl_packages/quartus_qcl_pll.tcl
/home/pamf/altera/13.0/ip/altera/common/hw_tcl_packages/quartus_advanced_wysiwyg.tcl
/home/pamf/altera/13.0/ip/altera/common/hw_tcl_packages/quartus_advanced_pll_legality.tcl
/home/pamf/altera/13.0/ip/altera/common/hw_tcl_packages/quartus_advanced_hssi_legality.tcl
/home/pamf/altera/13.0/uninstall/quartus_web.cnf
/home/pamf/altera/13.0/uninstall/quartus_web-13.0.0.156-uninstall.dat
/home/pamf/altera/13.0/uninstall/quartus_web-13.0.0.156-uninstall.run
/home/pamf/altera/13.0/nios2eds/sdk2/lib/com.altera.ui.quartus.jar
/home/pamf/altera/13.0/logs/quartus-13.0.0.156-install.log
find: ‘/run/user/1000/gvfs’: Permissão negada




24. Re: Não consigo instalar o Altera Quartus II

Perfil removido
removido

(usa Nenhuma)

Enviado em 10/10/2017 - 22:20h

@Tenga: execute os seguintes comandos:
$ sudo apt install libpng16-16:i386
$ sudo ln -sv libpng16.so.16 /lib/i386-linux-gnu/libpng12.so.0
$ echo 'PATH="$PATH:/home/pamf/altera/13.0/quartus/bin' >> ~/.bashrc
$ source ~/.bashrc
$ quartus

Esses comandos instalaram o libpng e criaram um link simbólico para disfarçar a versão. além de adicionar o caminho do binario para o bash, então poderá chamar o comando "quartus" sem caminho. (assumindo que use o bash)

Se falhar execute os seguintes comandos:
$ file ~/altera/13.0/quartus/bin/quartus
$ ldd ~/altera/13.0/quartus/bin/quartus
$ strace ~/altera/13.0/quartus/bin/quartus







Patrocínio

Site hospedado pelo provedor RedeHost.
Linux banner

Destaques

Artigos

Dicas

Tópicos

Top 10 do mês

Scripts