Não consigo instalar o Altera Quartus II [RESOLVIDO]

1. Não consigo instalar o Altera Quartus II [RESOLVIDO]

Sabrio
Tenga

(usa Ubuntu)

Enviado em 04/10/2017 - 10:56h

Olá pessoal, essa é a primeira vez que crio um tópico aqui pelo motivo de que dessa vez não consegui resolver o problema fazendo o estava em outros tópicos.

O problema é o seguinte: Preciso instalar o Quartus II 13.0 no meu netbook (Sony Vaio 2GB de RAM AMD e-350) mas não estou conseguindo.
Já baixei o arquivo .tar e o arquivo .run do site da Altera, o primeiro consigo extrair mas não consigo fazer nada com o setup.sh extraido, o segundo também não consigo fazer nada com ele, simplesmente ao executar não acontece nada. Já mudei a primeira linha do arquivo setup.sh de #!/bin/sh para #!/usr/bin/env bash como sugerido em alguns tutoriais que vi mas também não aconteceu nada.
Estou usando o lubuntu 17.04 no netbook, está bem lento mas está usável.
Alguém te alguma dica do que fazer?


  


2. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Perfil removido
removido

(usa Nenhuma)

Enviado em 04/10/2017 - 11:00h

executa o setup.sh pelo terminal é manda a saida.
$./setup.sh 





3. Re: Não consigo instalar o Altera Quartus II

Sabrio
Tenga

(usa Ubuntu)

Enviado em 04/10/2017 - 16:47h

Apenas pula para a próxima linha esperando outro comando

fmmf@fmmf:~/Downloads$ ./setup.sh
fmmf@fmmf:~/Downloads$



4. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Perfil removido
removido

(usa Nenhuma)

Enviado em 04/10/2017 - 18:27h

Tenga escreveu:
Apenas pula para a próxima linha esperando outro comando
fmmf@fmmf:~/Downloads$ ./setup.sh 
fmmf@fmmf:~/Downloads$


esse "setup.sh" é realmente o script de instalação? tem permissões de execução? o arquivo possui algo? pode parecer uma pergunta meio ilógica, mas se o arquivo estivesse chamando qualquer comando, sendo um shell script, ele voltaria algo. (exceto caso esteja direcionando o output para o /dev/null)

passe o retorno dos seguintes comandos
$ ls -l setup.sh
$ cat setup.sh

* por favor coloque o output entre [*code] [/*code] (sem asterisco)


5. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Sabrio
Tenga

(usa Ubuntu)

Enviado em 05/10/2017 - 10:45h

katsuke00 escreveu:

esse "setup.sh" é realmente o script de instalação? tem permissões de execução? o arquivo possui algo? pode parecer uma pergunta meio ilógica, mas se o arquivo estivesse chamando qualquer comando, sendo um shell script, ele voltaria algo. (exceto caso esteja direcionando o output para o /dev/null)

passe o retorno dos seguintes comandos
$ ls -l setup.sh
$ cat setup.sh

* por favor coloque o output entre [*code] [/*code] (sem asterisco)



Sim, o setup.sh foi extraído do arquivo que baixei do site da altera. Já abri ele e tem coisa sim.
Eis os retornos dos comandos:

pamf@pamf:~/Downloads$ ls -l setup.sh 
-rwxr--r-- 1 pamf pamf 156 out 4 09:49 setup.sh
pamf@pamf:~/Downloads$ cat setup.sh
#!/usr/bin/env bash
export SCRIPT_PATH=`dirname "$0"`
export CMD_NAME="$SCRIPT_PATH/components/QuartusSetupWeb-13.0.0.156.run"
eval exec "\"$CMD_NAME\"" $@




6. Re: Não consigo instalar o Altera Quartus II

Perfil removido
removido

(usa Nenhuma)

Enviado em 05/10/2017 - 11:21h

Tenga escreveu:
Sim, o setup.sh foi extraído do arquivo que baixei do site da altera. Já abri ele e tem coisa sim.
Eis os retornos dos comandos:

pamf@pamf:~/Downloads$ ls -l setup.sh 
-rwxr--r-- 1 pamf pamf 156 out 4 09:49 setup.sh
pamf@pamf:~/Downloads$ cat setup.sh
#!/usr/bin/env bash
export SCRIPT_PATH=`dirname "$0"`
export CMD_NAME="$SCRIPT_PATH/components/QuartusSetupWeb-13.0.0.156.run"
eval exec "\"$CMD_NAME\"" $@

este script está chamando outro, o ".run", o script está na mesma pasta onde extraiu o programa? caso não, coloque. caso esteja retorne o seguinte comando (execute-o dentro da pasta do programa):
$ ls -Rl 

esse comando retornara de forma recursiva os arquivos/pastas existentes junto de suas permissões, assim poderei saber se o script tem capacidade de chamar o outro.


7. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Sabrio
Tenga

(usa Ubuntu)

Enviado em 05/10/2017 - 13:33h

katsuke00 escreveu:

este script está chamando outro, o ".run", o script está na mesma pasta onde extraiu o programa? caso não, coloque. caso esteja retorne o seguinte comando (execute-o dentro da pasta do programa):
$ ls -Rl 

esse comando retornara de forma recursiva os arquivos/pastas existentes junto de suas permissões, assim poderei saber se o script tem capacidade de chamar o outro.


Está sim. Ambos na pasta Downloads. Os arquivos extraídos foram o setup.sh e a pasta components.
Eis o retorno do comando:
pamf@pamf:~/Downloads$ ls
components Quartus-web-13.0.0.156-linux.tar
google-chrome-stable_current_amd64.deb setup.sh
pamf@pamf:~/Downloads$ ls -R1
.:
components
google-chrome-stable_current_amd64.deb
Quartus-web-13.0.0.156-linux.tar
setup.sh

./components:
arria_web-13.0.0.156.qdz
cyclonev-13.0.0.156.qdz
cyclone_web-13.0.0.156.qdz
max_web-13.0.0.156.qdz
ModelSimSetup-13.0.0.156.run
QuartusHelpSetup-13.0.0.156.run
QuartusSetupWeb-13.0.0.156.run



8. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Perfil removido
removido

(usa Nenhuma)

Enviado em 05/10/2017 - 13:50h

@Tenga bem você pode tentar chamar manualmente, execute o seguinte (na mesma pasta):
$ cd components
$ chmod +x QuartusSetupWeb-13.0.0.156.run
$ ./QuartusSetupWeb-13.0.0.156.run

caso não de certo, retorne aqui o que ocorreu. Junto do "ls" novamente, pois não era um "1" era um "l" (L minusculo)
$ ls -Rl  




9. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Sabrio
Tenga

(usa Ubuntu)

Enviado em 05/10/2017 - 13:59h

katsuke00 escreveu:

@Tenga bem você pode tentar chamar manualmente, execute o seguinte (na mesma pasta):
$ cd components
$ chmod +x QuartusSetupWeb-13.0.0.156.run
$ ./QuartusSetupWeb-13.0.0.156.run


pamf@pamf:~$ cd Downloads/
pamf@pamf:~/Downloads$ cd components/
pamf@pamf:~/Downloads/components$ chmod +x QuartusSetupWeb-13.0.0.156.run
pamf@pamf:~/Downloads/components$ ./QuartusSetupWeb-13.0.0.156.run
pamf@pamf:~/Downloads/components$



caso não de certo, retorne aqui o que ocorreu. Junto do "ls" novamente, pois não era um "1" era um "l" (L minusculo)
$ ls -Rl  

[/quote]

Não acontece nada :/
Vi um 1 kkkk, malz.
O retorno correto:

pamf@pamf:~/Downloads$ ls -Rl
.:
total 4720836
drwxrwxr-x 2 pamf pamf 4096 out 4 07:55 components
-rw-rw-r-- 1 pamf pamf 65267668 out 2 00:12 google-chrome-stable_current_amd64.deb
-rwxrwxrwx 1 pamf pamf 4768849920 out 3 23:06 Quartus-web-13.0.0.156-linux.tar
-rwxr--r-- 1 pamf pamf 156 out 4 09:49 setup.sh

./components:
total 4657096
-rw-r--r-- 1 pamf pamf 489946965 abr 25 2013 arria_web-13.0.0.156.qdz
-rw-r--r-- 1 pamf pamf 734723600 abr 25 2013 cyclonev-13.0.0.156.qdz
-rw-r--r-- 1 pamf pamf 596467125 abr 25 2013 cyclone_web-13.0.0.156.qdz
-rw-r--r-- 1 pamf pamf 7065241 abr 25 2013 max_web-13.0.0.156.qdz
-rwxr-xr-x 1 pamf pamf 813709311 abr 25 2013 ModelSimSetup-13.0.0.156.run
-rwxr-xr-x 1 pamf pamf 373673429 abr 25 2013 QuartusHelpSetup-13.0.0.156.run
-rwxr-xr-x 1 pamf pamf 1753255754 abr 25 2013 QuartusSetupWeb-13.0.0.156.run




10. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Perfil removido
removido

(usa Nenhuma)

Enviado em 05/10/2017 - 15:08h

Estranho, faça o seguinte (dentro da pasta):
$ sudo apt install strace
$ cd components
$ strace ./QuartusSetupWeb-13.0.0.156.run
$ ldd QuartusSetupWeb-13.0.0.156.run
$ file QuartusSetupWeb-13.0.0.156.run

retorne aqui a saída.



11. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Sabrio
Tenga

(usa Ubuntu)

Enviado em 05/10/2017 - 15:15h

katsuke00 escreveu:

Estranho, faça o seguinte (dentro da pasta):
$ sudo apt install strace
$ cd components
$ strace ./QuartusSetupWeb-13.0.0.156.run
$ ldd QuartusSetupWeb-13.0.0.156.run
$ file QuartusSetupWeb-13.0.0.156.run

retorne aqui a saída.


Eis as saídas:
pamf@pamf:~/Downloads$ sudo apt install strace
[sudo] senha para pamf:
Lendo listas de pacotes... Pronto
Construindo árvore de dependências
Lendo informação de estado... Pronto
strace is already the newest version (4.12-3ubuntu1).
Os seguintes pacotes foram instalados automaticamente e já não são necessários:
hyphen-en-ca hyphen-en-gb hyphen-en-us hyphen-pt-br hyphen-pt-pt
libreoffice-help-en-gb libreoffice-help-en-us libreoffice-help-pt
libreoffice-help-pt-br libreoffice-l10n-en-gb libreoffice-l10n-en-za
libreoffice-l10n-pt libreoffice-l10n-pt-br mythes-en-au mythes-en-us
mythes-pt-pt
Utilize 'sudo apt autoremove' para os remover.
0 pacotes atualizados, 0 pacotes novos instalados, 0 a serem removidos e 0 não atualizados.
pamf@pamf:~/Downloads$ cd components/
pamf@pamf:~/Downloads/components$ strace ./QuartusSetupWeb-13.0.0.156.run
execve("./QuartusSetupWeb-13.0.0.156.run", ["./QuartusSetupWeb-13.0.0.156.run"], [/* 44 vars */]) = 0
strace: [ Process PID=4104 runs in 32 bit mode. ]
mmap(0xc6d000, 4096, PROT_READ|PROT_WRITE|PROT_EXEC, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0xc6d000) = 0xc6d000
readlink("/proc/self/exe", "/home/pamf/Downloads/components/"..., 4096) = 62
mmap(0x8048000, 1108457, PROT_READ|PROT_WRITE|PROT_EXEC, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x8048000
mprotect(0x8048000, 1108454, PROT_READ|PROT_EXEC) = 0
mmap(0x8157000, 42979, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0x10f000) = 0x8157000
mprotect(0x8157000, 42976, PROT_READ|PROT_WRITE) = 0
mmap(0x8162000, 15736, PROT_READ|PROT_WRITE, MAP_PRIVATE|MAP_FIXED|MAP_ANONYMOUS, -1, 0) = 0x8162000
brk(0x8166000) = 0x891d000
open("/lib/ld-linux.so.2", O_RDONLY) = -1 ENOENT (No such file or directory)
exit(127) = ?
+++ exited with 127 +++
pamf@pamf:~/Downloads/components$ ldd QuartusSetupWeb-13.0.0.156.run
não é um executável dinâmico
pamf@pamf:~/Downloads/components$ file QuartusSetupWeb-13.0.0.156.run
QuartusSetupWeb-13.0.0.156.run: ELF 32-bit LSB executable, Intel 80386, version 1 (GNU/Linux), statically linked, stripped
pamf@pamf:~/Downloads/components$




12. Re: Não consigo instalar o Altera Quartus II [RESOLVIDO]

Perfil removido
removido

(usa Nenhuma)

Enviado em 05/10/2017 - 15:24h

Um binário estático que chama um biblioteca dinâmica, que ótimo... Acredito que estamos próximo de uma solução, retorne o seguinte:
$ ls -l /lib/ld*.so* 







Patrocínio

Site hospedado pelo provedor RedeHost.
Linux banner

Destaques

Artigos

Dicas

Tópicos

Top 10 do mês

Scripts